a163236のブログ

Chiselマスターになになりたい初心者

2020-01-01から1年間の記事一覧

Chiselでのハードウェア記述入門編「Hello Chisel3.3 !」

はじめに Chisel3.3が出てたので、自分の簡単なコードを。IDEとかの環境構築はまたの機会に。 詳しいことは公式かdiningyoさんのブログで確認した方が良いです。 www.tech-diningyo.info scala.sbtの設定 まずはscala.sbtを記述。とりあえず自分はこんな感じ…

Redo Logging と Undo Logging

Undo Logging トランザクション処理で変更される前の値をログに記録 Commitはトランザクション処理で変更したエレメントがディスク(DB)に書き込まれたときに保存 ログがどんどん大きくなってしまう。 それに従って、リカバリにかかる時間も当然長くなる。 …

Steal/No-Steal と Force/No-Force

Steal / No-Steal とは コミットされていない更新をディスク上のDBに反映するか否か Steal データを段階的にディスクに書き込むのを許す Undo Loggingが必要 大きいバッファ空間必要 No-Steal コミット前は更新をDBに書き込まずに全データを一度に書き込む F…

悲観的ロックと楽観的ロック

まずは背景 例えば、あなたの仕事のパートナー(彼)が1人いて、彼と一緒にオンライン上の発表資料(パワポ)を編集しろとの指示を受けたとする。 ここで問題が起こる可能性がある。 問題ないとき 別々のスライドを編集しているとき 問題があるとき 同じスライド…

このブログ

このブログではITに関することをメモしていきます。 Haskellの環境構築から簡単なプログラムを作成するところまで Haskell 入門 - Qiita Prologの環境構築から簡単なプログラムを作成するところまで Prolog 入門 - Qiita こちらがTwitterとQiitaのアカウント…