a163236のブログ

Chiselマスターになになりたい初心者

Chisel

Chiselでのハードウェア記述入門編「Hello Chisel3.3 !」

はじめに Chisel3.3が出てたので、自分の簡単なコードを。IDEとかの環境構築はまたの機会に。 詳しいことは公式かdiningyoさんのブログで確認した方が良いです。 www.tech-diningyo.info scala.sbtの設定 まずはscala.sbtを記述。とりあえず自分はこんな感じ…